Zhitong
2024.05.15 06:07
portai
I'm PortAI, I can summarize articles.

ASML's new EUV machine rejected by major customers? TSMC says this lithography machine is too expensive

ASML's latest advanced chip manufacturing lithography machine is priced too high, causing TSMC to hesitate. This EUV lithography machine has a very high cost, with each unit costing up to 350 million euros, equivalent to the weight of two Airbus A320s. ASML is the world's largest lithography system manufacturer, and its lithography equipment plays a crucial role in the chip manufacturing process. ASML is the sole supplier of high-end process chips for TSMC, Samsung, and Intel. Therefore, the demand for its products from major customers such as TSMC, Intel, and Samsung serves as a barometer for the health of the chip industry

According to the financial news app Zhitong Finance, ASML, the lithography giant headquartered in the Netherlands, one of the largest customers, Taiwan Semiconductor Manufacturing Company (TSM), recently made a rare statement, expressing concerns over the price of ASML's latest advanced chip manufacturing lithography machines. Kevin Zhang, Senior Vice President of TSM, stated at a technical seminar in Amsterdam on Tuesday, "The cost of this EUV lithography machine is very high." He was referring to ASML's latest "high-NA" extreme ultraviolet (EUV) lithography machine. "I like the performance of the high-NA EUV, but I really don't like its price tag."

It is understood that ASML's latest EUV lithography machine can imprint semiconductors with lines as thin as 8 nanometers, which is 1.7 times smaller than the previous generation EUV machine. However, this pinnacle of human technological achievement in chip manufacturing machines costs as much as 350 million euros (approximately 380 million US dollars) per unit, equivalent to the weight of two Airbus A320 aircraft.

ASML from the Netherlands is the world's largest lithography system manufacturer, and its lithography equipment plays a crucial role in the semiconductor manufacturing process. ASML is the sole supplier of the most advanced extreme ultraviolet (EUV) lithography machines used by TSM, Samsung, and Intel for manufacturing high-end process chips.

If chips are the "pearl in the palm" of modern human industry, then lithography machines are the essential tools needed to produce this "pearl." More importantly, ASML is the only supplier in the world for the most advanced process chips, such as 3nm, 5nm, and 7nm chips that require EUV lithography equipment. Therefore, the demand for its products from major customers like TSM, Intel, and Samsung serves as an indicator of the health of the chip industry.

To achieve 2nm and below processes, Intel has spent a huge sum to purchase ASML's new EUV machine

It is reported that Intel, another major customer of ASML and a veteran chip manufacturer in the United States, has spent a huge sum to purchase ASML's latest high-NA EUV lithography machine. By the end of December 2023, Intel will have the first of these new machines delivered to a factory in Oregon. However, it is currently unclear when TSM and Samsung, the other two major customers, will start purchasing these devices.

The core components of this advanced chip manufacturing system, known as the high-NA extreme ultraviolet (EUV) lithography machine, have been shipped to Intel's D1X chip factory in Oregon, signaling Intel's ambitious move towards the most advanced lithography technology in the field of chip manufacturing.

Intel's core purpose in spending a huge sum to purchase this equipment is to strive to achieve the most advanced chip manufacturing processes of 2nm and below - the 18A, 14A, and 10A technology nodes planned by Intel. The "18A" category refers to Intel's planned 1.8nm level chips as well as Intel's planned 3D chiplet advanced packaging technology roadmap For Intel's research and development of 2nm and below nodes technology, ASML's high-NA EUV lithography machine is extremely important. Compared to ASML's current standard EUV lithography machine, the main difference lies in the use of a larger numerical aperture. The high-NA EUV technology uses a 0.55 NA lens, capable of achieving an 8nm level resolution, while the standard EUV technology uses a 0.33 NA lens. Therefore, this new NA technology can print smaller feature sizes on chips, which is crucial for the development of process technology for 2nm and below chips.

The rising costs and technical complexity make the most advanced chip manufacturing processes below 3nm increasingly difficult. Intel is facing a special challenge as it attempts to regain its once unshakable chip manufacturing technology advantage with the support of high subsidies from the U.S. government. Therefore, Intel's ambition in the chip field mainly lies in opening a new path of performance expansion for the company through its chip foundry business division.

Intel CEO Gelsinger recently stated that the company's business transformation is progressing smoothly, aiming to achieve a more advanced 18A process node "ahead of" its competitors in the chip manufacturing field, with the 18A advanced process enabling Intel to be cost-competitive with its rivals again. By 2030, Intel expects its chip foundry to become the world's second-largest foundry in scale, possibly slightly behind the global chip foundry leader TSMC.

One of ASML's largest customers, TSMC, refuses to follow Intel: Old EUV machines can also manufacture advanced chips

TSMC's Senior Vice President Kevin Zhang stated on Tuesday that TSMC's so-called A16 chip node technology (commonly believed to be a 1.6nm chip manufacturing process) is expected to be launched by the end of 2026. He mentioned that there is no need to use ASML's high-NA EUV and they can continue to rely on some of TSMC's older EUV lithography equipment. "I think at this point, our existing EUV capabilities should be able to support the new process," Kevin Zhang said.

Regarding TSMC's view on ASML's high-NA EUV, Zhang stated, "I like the performance of high-NA EUV, but the use of new ASML technology will depend on where it makes the most economic sense and the technical balance we can achieve." However, he declined to comment on when TSMC might start ordering the newly launched high-NA EUV from ASML.

"The cost of operating a large chip manufacturing plant, including buildings, tools, electricity, and raw materials, has been rising. This is a common challenge facing the entire industry," Zhang said.

With decades of semiconductor manufacturing technology accumulation in the chip manufacturing field, TSMC has been at the forefront of technology improvement and innovation in chip manufacturing for a long time (TSMC pioneered the FinFET era and led the 2nm GAA era), dominating the vast majority of global chip foundry orders with advanced processes and high yields, especially for chip foundry orders of 5nm and below advanced processes Currently, the most in-demand AI training/inference high-performance AI chips include NVIDIA's A100/H100/B200/GB200 series and AMD's MI300 series AI accelerators, which are widely used in servers in major data centers worldwide. TSMC, on the other hand, is single-handedly holding NVIDIA and AMD by the neck. Both NVIDIA and AMD are heavily reliant on TSMC's 5nm process technology. Future AI chips are expected to adopt a mix of TSMC's advanced chiplet packaging on 3nm process and 4nm process, with plans for 2nm and below already included in TSMC's technological roadmap.

TSMC currently dominates the market for high-end chip packaging on 5nm and below processes with its leading 2.5D/3D advanced packaging technology. The advanced packaging capacity is far from meeting the demand, and the long-term supply shortage of NVIDIA's H100 is precisely due to the limited capacity of TSMC's 2.5D CoWoS packaging