Zhitong
2024.06.13 01:41
portai
I'm PortAI, I can summarize articles.

2nm GAA and 1.4nm are both in preparation! Samsung's chip grand plan unveiled, launching the strongest challenge to Taiwan Semiconductor

Samsung Electronics has announced a series of new semiconductor chip technology roadmaps that will be gradually rolled out, aiming to strengthen its market share in HBM and attract top global AI chip companies to designate Samsung as their "preferred AI chip foundry." Samsung plans to offer GAA advanced process technology on the upcoming 2nm process and mass produce 1.4nm level chips by 2027. Samsung is attempting to launch the strongest challenge to Taiwan Semiconductor, as currently, major AI chip players such as NVIDIA and AMD have almost all of their AI chip production capacity concentrated at Taiwan Semiconductor

According to the Zhītōng Finance APP, South Korean storage chip giant Samsung Electronics has announced a series of upcoming new chip technology roadmaps, aiming to strengthen its market share in HBM and attract top global AI chip companies to list Samsung as their "preferred AI chip foundry", attempting to launch the strongest challenge in the foundry field against Taiwan Semiconductor, which is known as the "king of global chip foundries". Currently, the AI chip production capacity of chip giants such as NVIDIA and AMD is almost entirely concentrated at Taiwan Semiconductor. The chip technology roadmap shows that Samsung plans to offer GAA advanced process technology on the upcoming 2nm process, and the preparation for its 1.4nm level chip process is progressing smoothly, with high performance and production targets expected to be mass-produced in 2027.

Although Samsung is still the world's largest storage chip manufacturer, it has been trying to catch up with its competitor Taiwan Semiconductor (TSM.US) in the chip foundry market. The chip contract foundry market mainly refers to chip design companies (Fabless) such as NVIDIA, AMD, Broadcom, and Apple that produce chips without a wafer fab through foundries like Taiwan Semiconductor.

More precisely, Samsung belongs to the IDM model of chip companies, which have the three core capabilities of chip design, chip manufacturing, and chip packaging and testing. They are the highest in technical barriers, capital consumption, and risk factors in the global chip industry chain, and Samsung and Intel are the top IDM chip companies globally. Taiwan Semiconductor mainly handles the core link of chip foundry in the chip industry chain and belongs to the Foundry model.

On Wednesday in U.S. Eastern Time, Samsung announced its latest chip foundry manufacturing roadmap at its annual wafer foundry forum at its U.S. chip headquarters in San Jose, California, outlining its many visions and prospects for the AI era.

Challenging Taiwan Semiconductor's leading position in foundry in the short term may be very difficult.

The latest data from market research firm TrendForce shows that in the first quarter of this year, Samsung's share in the chip foundry market unexpectedly dropped from 11.3% in the previous quarter to 11%, while Taiwan Semiconductor's chip foundry share rose from 61.2% in the same period to 61.7%.

Driven by the strong demand for chip components in AI high-performance computing systems, this South Korean chip manufacturer's profits are showing a positive recovery trend. The global trend of enterprises deploying AI has greatly boosted its main storage chip department and provided opportunities to win large chip foundry contracts.

Samsung is the world's largest supplier of DRAM and NAND storage chips, and is also striving to become one of NVIDIA's HBM and the next generation of HBM3E suppliers. In the field of DDR series storage chips (such as DDR4, DDR5) and SSDs, Samsung's market share is far ahead of other storage chip manufacturers Different from HBM, which is widely used in AI data centers, the DDR series of storage is mainly used for the main memory of PC systems, providing sufficient memory capacity and bandwidth to support multitasking and the processing of data sets on consumer electronics. The LPDDR (Low Power DDR) series is applied in smartphones.

However, Samsung must prove that its products are advanced and reliable enough to attract demanding major clients like NVIDIA (NVDA.US) to make larger chip manufacturing commitments. Currently, TSMC is at the core of the global AI and chip manufacturing boom, serving as the sole global foundry for NVIDIA's high-performance AI chips, dominating NVIDIA's production capacity single-handedly.

TSMC remains the core chip manufacturer for fabless chip design companies such as Apple, NVIDIA, AMD, and Broadcom, especially for the data center server-side AI chips manufactured for NVIDIA and AMD. These chips are considered crucial hardware infrastructure for driving large artificial intelligence training/inference systems behind tools like ChatGPT, with no alternatives.

Additionally, Samsung faces chip manufacturing challenges from Intel (INTC.US), which is continuously establishing large chip manufacturing plants to accelerate its entry into the foundry sector, aiming to win chip orders from competitors like NVIDIA and AMD.

Preparations are actively underway for 2nm GAA and 1.4nm advanced processes.

Advancements in chip production technology, typically marked by shrinking transistor sizes, help enhance the core performance of electronic components. Competing in smaller sizes and mastering GAA technology may be key for Samsung to win major orders for AI processors, which are currently some of the highest-performing and most expensive 4nm and 5nm advanced process chips in use, with a future shift towards 3nm and even 2nm and below processes.

Samsung's advanced processes feature a "backside power delivery network technology," placing power rails on the backside of silicon wafers. The company stated that compared to the first-generation 2nm advanced process technology, this technology enhances power, performance, and area while significantly reducing voltage drop zones.

Samsung executives emphasized that the company's comprehensive capabilities in logic chips, HBM memory systems, chiplet advanced packaging, etc., will help it make rapid progress in winning large orders for outsourced manufacturing of AI-related chips.

On that day, Samsung predicted that by 2028, the company expects a fivefold increase in AI chip-related customers and a significant ninefold increase in related sales. The company announced several new production technologies and the latest layout for future AI-related chips, stating that this will help win more customers.

Samsung executives declined to comment on the latest progress in supplying the latest HBM memory systems to NVIDIA or respond to media reports about Samsung not yet obtaining qualification to supply HBM to NVIDIA Samsung has also stepped up its promotion of its Gate-All-Around (GAA) transistor chip manufacturing technology, which is a key technology for AI chips to enter the 2nm and below process. Samsung plans to mass produce the second generation 3nm process in the second half of this year, and provide advanced GAA process technology on the upcoming 2nm process. It is reported that Samsung previously took the lead in the industry in 2022 to start mass production of 3nm chips based on GAA technology. In addition, the chip manufacturer confirmed on the same day that its preparation for the 1.4nm level chip process is progressing smoothly, with high performance and production targets expected to be mass produced in 2027