Wallstreetcn
2024.02.21 18:05
portai
I'm PortAI, I can summarize articles.

Intel is the first globally to promote system-level foundry services in the AI era, while Microsoft is making its own chips using the A18 process with the help of Dongfeng.

Intel has added the 14A process to its "four years, five process nodes" plan, aiming to assist customers in achieving their AI ambitions with a new process technology roadmap. In November last year, Microsoft launched two high-end custom chips for Azure services, including Maia 100, Microsoft's first AI chip, which competes directly with NVIDIA.

Microsoft takes the lead, riding on Intel's strong expansion of foundry services for the AI era, making Intel's technology a powerful tool for its own chip development.

On February 21st, Eastern Time, at the inaugural foundry service event Intel Foundry Direct Connect, Intel announced the launch of the world's first system-level foundry tailored for the artificial intelligence (AI) era. Intel's foundry services introduced a new roadmap, utilizing Intel's 14A process technology, professional node evolution, and new Intel Advanced System Assembly and Test (ASAT) capabilities to help customers realize their ambitions in deploying AI technology.

System-level foundry is an innovative way that Intel has promoted the growth of its foundry business in recent years, going beyond traditional wafer foundry services, leading the industry from standard single-chip system-on-chip to "chip systems" within a single package. System-level foundry services consist of wafer manufacturing, packaging, software, and open chiplets, enabling Intel to leverage its expertise in chip design and manufacturing to create new solutions for customers and partners.

Intel's CEO, Pat Gelsinger, stated that AI is profoundly changing the world and our perception of technology and the chips that drive it. This creates unprecedented opportunities for the world's most innovative chip designers and Intel's AI-oriented system-level foundry. Together, we can create new markets and revolutionize the way technology enhances people's lives.

On Wednesday, Intel also announced an updated roadmap for expanding process technology, adding 14A to the company's advanced node plans.

In July 2021, Intel unveiled the "Five Nodes in Four Years" (5N4Y) plan, aiming to regain a leading position in process technology by advancing Intel 7, 4, 3, 20A, and 18A nodes within four years from that time, reaching 2025. This Wednesday, Intel confirmed that its 5N4Y process roadmap is progressing as planned and will be the first in the industry to offer backside power delivery solutions.

The updated roadmap from Intel covers the evolved 3, 18A, and 14A process technologies, including Intel 3-T, which serves advanced 3D packaging design optimized through silicon vias (TSVs) and will soon enter the production-ready stage.

During his keynote speech on Wednesday, Gelsinger revealed that Intel's long-term system-level foundry services have received support from customers. Microsoft's Chairman and CEO, Satya Nadella, stated that Microsoft plans to utilize Intel's 18A process to produce Microsoft's self-developed chips. Nadella stated, "We are in an incredibly exciting platform transformation process that will fundamentally change the productivity of every organization and the entire industry. To achieve this vision, we need reliable suppliers of cutting-edge, high-performance, and high-quality semiconductors. Therefore, we are thrilled to partner with Intel for foundry services, and our chip design plans will be produced using Intel's 18A process."

Microsoft has not disclosed which products will adopt the A18 process technology. However, Wall Street News previously mentioned that in mid-November last year, Microsoft introduced two high-end custom chips for Azure services: Microsoft's first AI chip Maia 100 and a competitor to Intel CPUs, the cloud-native chip Cobalt 100 based on Arm architecture.

The Maia 100 is used for cloud training and inference of AI workloads such as OpenAI models, Bing, GitHub Copilot, and ChatGPT. It is manufactured using TSMC's 5-nanometer process, with 1.05 trillion transistors, about 30% fewer than the 1.53 trillion transistors in AMD's AI chip MI300X challenging NVIDIA.

At that time, some media commented that the Maia 100 could directly compete with NVIDIA's chips and become an alternative to NVIDIA's chips.

Rani Borkar, Microsoft's Vice President in charge of Azure hardware systems and infrastructure, revealed that the Maia 100 had been tested on its Bing and Office AI products, and OpenAI was also experimenting with it. This implies that cloud training and inference for models like ChatGPT may be based on this chip.