Zhitong
2024.07.24 04:29
portai
I'm PortAI, I can summarize articles.

Strong demand for semiconductor equipment under the AI boom! ALD leader ASM's order size surged by 56%

Dutch semiconductor equipment supplier ASM International NV saw a 56% increase in order size in the second quarter, benefiting from global AI technology deployment and increased demand in the Chinese market. Leading semiconductor equipment providers such as KLA, Applied Materials, and ASML are also expected to benefit from the AI boom. ASM International expects revenue to grow by approximately 15% in the second half of the year, exceeding market expectations. ASM International's ADR price on the US stock market rose by 8.4% in Tuesday's trading

According to the financial news app Zhitong Finance, ASM International NV, the Dutch giant in atomic layer deposition (ALD) equipment, released its second-quarter performance report. The financial data shows that ASM International's second-quarter order size growth far exceeded market expectations. The company continues to benefit from the surge in semiconductor equipment demand brought about by the epic wave of global enterprise deployment of artificial intelligence technology, as well as strong demand from the Chinese market. Other semiconductor equipment leaders, such as KLA Corporation (KLAC.US) and Applied Materials (AMAT.US), as well as lithography machine manufacturer ASML Holding (ASML.US), are also expected to fully benefit from the AI boom. The stock prices of these semiconductor equipment giants may have a long way to go in terms of growth.

In the performance report released on Tuesday, ASM International stated that the order value of semiconductor manufacturing equipment such as atomic layer deposition in the second quarter increased significantly by 56% compared to the same period last year, reaching 755 million euros (approximately 819 million US dollars). In contrast, analysts' average forecast was around 709 million euros.

In the performance statement, ASM CEO Hem Mahadad indicated that the order increase includes a stable growth trend in semiconductor equipment orders related to the Gate-All-Around (GAA) 2nm chip process technology node. Mahadad took over as CEO in May from former CEO Benjamin Loh.

In terms of performance expectations, ASM International's revenue outlook for the second half of the year exceeds market expectations. The company expects a growth of approximately 15% in total revenue for the second half of the year compared to the first six months of 2024, previously expected to grow by 10% or more. Analysts generally expected a 10% increase.

Following the latest performance announcement, ASM International's ADR stock price surged by 8.4% in Tuesday's US stock trading, marking the largest intraday gain in three months. So far this year, under the comprehensive stimulus of the AI boom, global funds have not only poured into US stocks to buy the AI chip leader NVIDIA (NVDA.US), but also rushed into US stocks to invest in ASM International, KLA, Applied Materials, and other semiconductor equipment giants. ASM International's ADR stock price has risen by as much as 50% since 2024, while European stocks have seen a similar 50% increase during the same period.

The company, headquartered in Almere, has been balancing the soft market for wafer manufacturing equipment with the demand for the so-called "all-around gate" technology, which can enhance equipment performance and is crucial for AI chips.

CEO Mahadad of ASM stated that the GAA chip process node, focused on 2nm and below processes, is expected to enter mass debugging and production stages in 2025, "We expect this to be ASM International's latest and stronger revenue driver."

ASM International emphasized in the financial report that strong demand from China was one of the main highlights of the second-quarter report. The company also stated that although sales in the Chinese market were "very strong" in the second quarter, overall revenue from Asian countries was lower than in the first quarter of this year ASM International, focusing on the ALD field, one of the biggest beneficiaries of the AI boom

ASM International from the Netherlands is in an absolute leading position in the field of Atomic Layer Deposition (ALD) semiconductor equipment, with a significantly larger market share than other semiconductor equipment manufacturers. In the process of manufacturing AI chips for chip manufacturers such as TSMC, ALD equipment undoubtedly plays a crucial role. The ALD technology is very important for manufacturing AI chips with high integration and more complex structures. In addition, ALD is crucial for the transition to Gate-All-Around (GAA) technology and the demand for precise threshold voltage tuning.

It is reported that TSMC, known as the "king of chip manufacturing," as well as the veteran chip giants Intel and Samsung Electronics are all core customers of ASM International. The company has a very close cooperation with TSMC and Intel by providing state-of-the-art thin film and deposition equipment, as well as related core technical support, to help these top chip manufacturers achieve technological advancements in chip manufacturing processes and production efficiency.

Against the backdrop of surging global demand for AI chips, TSMC and Samsung Electronics, which are continuously operating at full capacity for high-end processes of 5nm and below, have to increase their AI chip production capacity significantly. This means that they will have to invest heavily in purchasing ASM International's Atomic Layer Deposition equipment, ASML's EUV lithography machines, as well as core semiconductor equipment such as chip yield monitoring machines and etching machines.

Recently, some Wall Street investment institutions have released bullish research reports on the future market performance of ASM International. Jefferies is very optimistic about ASM International, the leader in the ALD field benefiting from the AI wave, giving it a target price of up to 740 euros within 12 months (latest closing price is 693 euros).

Stifel recently issued a research report covering ASM International for the first time, giving it a "buy" rating with a target price of up to 800 euros within 12 months. Stifel analysts believe that ASM is the absolute leader in the most cutting-edge deposition technology of Atomic Layer Deposition. The institution believes that ASM International will continue to consolidate its market-leading position since 2008.

Not only ASM International, these semiconductor equipment giants are also gearing up for a new round of growth

In 2023, ChatGPT became popular worldwide, in 2024, Sora's video large model was launched, and NVIDIA, the "shovel seller" in the AI field, has had unparalleled performance for several quarters, which may mean that human society will gradually enter the AI era from 2024. Semiconductor equipment giants such as KLA, ASML, and Applied Materials, after experiencing the so-called "golden age" of the PC era and the smartphone era, may usher in a new "golden age" in the global layout of AI in this wave of the AI boom starting from 2024 Whether it is TSMC's AI chip production capacity, or the HBM production capacity of major memory chip manufacturers such as Micron, Samsung, and SK Hynix, these semiconductor equipment manufacturers that are crucial to various stages of chip manufacturing play a vital role. Therefore, ASML, Applied Materials, and other semiconductor equipment giants hold the "lifeblood of chip manufacturing" in their hands.

In the US stock market, compared to chip giants like NVIDIA (NVDA.US), Broadcom (AVGO.US), and Micron (MU.US) that global investors are focusing on, these leaders in the semiconductor equipment field can be seen as the "low-key winners" benefiting from the unprecedented enthusiasm for global AI deployment. Among them, Applied Materials, a semiconductor equipment provider focusing on multiple important chip manufacturing processes such as atomic layer deposition (ALD), chemical mechanical polishing, wafer etching, and ion implantation, has shown a wave of "long bullish trends" with a staggering increase of 146% since 2023 and reaching new highs in stock prices since 2024.

Recently, the Wall Street commercial banking giant Wells Fargo released a research report stating that semiconductor equipment giants KLA and Applied Materials, as well as lithography machine manufacturer ASML, are among Wells Fargo's most favored semiconductor equipment stocks expected to benefit from the AI boom.

Another Wall Street major bank, Bank of America, recently released a research report stating that the current recovery cycle of the chip industry began at the end of 2023 and is currently only in the third quarter, indicating that the strong recovery trend may continue until mid-2026. Analysts at Bank of America pointed out that after experiencing an extremely sluggish downward cycle, the chip industry typically enters an upswing cycle lasting up to 10 quarters, and this pattern is just beginning.

In the research report, Bank of America mentioned three major investment themes in the chip industry that investors should focus on: cloud computing, automotive chips, and "complexity." In the complexity theme, Bank of America stated that the increasing complexity in the chip manufacturing field will fully support the continuous rise in valuations of chip manufacturers and semiconductor equipment suppliers. ASML, known as the "pinnacle of human technology," as well as KLA, Applied Materials, and other semiconductor equipment giants, undoubtedly play important roles in the "complexity" investment theme.

Wells Fargo reiterated its "buy" rating on KLA with a target price of up to $950, implying a potential increase of up to 18% within the next 12 months; the bank also reiterated a target price of $280 for Applied Materials, indicating a potential upside space of up to 27% for Applied Materials, which has repeatedly reached new highs in stock prices in the next 12 months; the bank also significantly raised the target price of ASML to $1185, implying that the lithography machine giant ASML, which has reached new highs since the beginning of this year, could rise by nearly 29%